PANIC - A High-Performance Programmable NIC for Multi-tenant Networks

PANIC - A High-Performance Programmable NIC for Multi-tenant Networks

USENIX via YouTube Direct link

Compute Unit

14 of 18

14 of 18

Compute Unit

Class Central Classrooms beta

YouTube playlists curated by Class Central.

Classroom Contents

PANIC - A High-Performance Programmable NIC for Multi-tenant Networks

Automatically move to the next video in the Classroom when playback concludes

  1. 1 Intro
  2. 2 Smart NIC and Multi Tenancy
  3. 3 Requirements # 2 Flexible Chaining • Flexible Chaining
  4. 4 Requirements #3 Isolation
  5. 5 Outline
  6. 6 Existing NIC Design Overview
  7. 7 Pipeline Design NIC
  8. 8 Manycore NIC
  9. 9 PANIC Design Overview
  10. 10 Life-Cycle of a Packet in PANIC
  11. 11 RMT Pipeline
  12. 12 Problem: Chaining and Load Balancing Goal #1: Achieve high-performance chaining
  13. 13 PANIC Scheduler: Prioritized Dropping
  14. 14 Compute Unit
  15. 15 Switching Fabric
  16. 16 PANIC Implementation
  17. 17 PANIC Evaluation
  18. 18 Conclusion

Never Stop Learning.

Get personalized course recommendations, track subjects and courses with reminders, and more.

Someone learning on their laptop while sitting on the floor.